VHDLとその情報源


【VHDLとは】

VHDLは"Very Highspeed Integrated Circuit(VHSIC) Hardware
Discription Language”の略で、もともとは”HDL”(ハードウェア記述言語)
の1種です。
さまざまな記述方法ができるようになっており、一般的には下記3種類の
表現方法があるといわれています。

 (1) Behaviar(振る舞い)記述
   機能レベルでの振る舞いや動作内容だけを記述するレベルで、抽象的な
   機能の検証に使います。
   しかし、直接論理合成が出来ないので、ASICやFPGAなどの設計には
   使いません。
 (2) RTL記述(Register Transfer Lebel)
   直接論理合成可能な、クロックをベースにしたレジスタや、組み合わせ
   回路をまとまった論理式で記述するレベルで、通常のASICやFPGAの設計
   に使われます。
 (3) ゲートレベル記述
   ネットリストと呼ばれる、ASIC内部のゲートやセルベースの接続関係で表現
   したリストで記述するレベルです。
   RTLでは表現が出来ない高速性や高密度を要求する場合になどに使います。

【VHDL情報源】

VHDLに関する情報源です。

1.VHDL International
  VHDL標準化組織でユーザーズフォーラムの運営とNews Letterの
  発行を実施している。

2.FPGAインフォメーション
  バサロさんが運営するFPGAに関する個人サイト
  バサロさんはNiftyのSIGオペでも有名な方です。

3.電子回路製作所
  VHDL入門からXilinx社のツールWebPackの使い方まで
  ディジタル時計の製作例があります。

【設計ツール情報源】

VHDLで設計・開発するてjの道具であるEDAツールの提供などの
情報源です。

1.ザイリンクス社
  従来のWebPackがバージョンアップされWebPack ISEとして無償公開されました。
  回路図、VHDL、Verilog、ABELを入力ソースとして、解析、翻訳、論理合成、
  シミュレーションまで可能なツールです。
   ★ WebPack ISEのダウンロード

3.日本アルテラ社
  従来からのE+MAXに加え、MAX+PLUS II BASELINEというツールが無償公開
  されました。より広範囲のアルテラ社のPLDの開発が可能となりました。
    ★ EDAツールのダウンロード

【デバイス購入の情報源】

私たちが電子工作で入手可能なCPLDの購入先の情報です。

1.ヒューマンデータ
  各社CPLDと開発キットを販売しています。

2.若松通商
  アルテラ、ザイリンクス各社のCPLDと開発評価ボードを販売しています。

【HDLライブラリの情報源】

無償で使用可能なVHDLライブラリの情報源です。

1.CQPIC
  CQ誌で提供するFPGAベースのPIC相当のマイクロコントローラのVHDL
  ライブラリで無償提供されています。
2.FPGAインフォメーション
  UART、I2C、NRZIなどのシリアル通信に関する機能ライブラリが無償で
  公開されています。
3.電子回路製作所
  ディジタル時計の製作例が公開されています。

Topに戻る